Tutorials

Last Updated: 09 January 2001

Tutorial 1 (Room 311/312)

 

SpecC: Specification Language and Design Methodology

Organizer: Daniel Gajski - Univ. of California, Irvine, USA

SpecC is a specification and SLDL that is capable of representing specification , architecture, communication and implementation models of systems and digital products. It is based on CSP, FSMD and DE models of computation. It has very well defined guidelines for modeling and refinement rules for transforming one model into the other. The tutorial will cover:

9:30 - 10:50

Goals and Plans of SpecC Consortium
Tadatoshi Ishii - SpecC Consortium, Japan
SpecC Language
Jianwen Zhu - Univ. of Toronto, Canada

The first 30 minutes presentation will cover the goals and plans of SpecC consortium, the available technical documents, present achievements and overall strategy for the future. In the next 50 minutes lecture, the basic requirements for SLD languages, basic models of computation, and SpecC features will be presented.

11:10 - 12:30

Modeling and Design with SpecC
Andreas Gerstlauer - Univ. of California, Irvine, USA

This presentation will cover different modeling levels in SpecC, the modeling style and guidelines for spec, architecture, communication and implementation levels and refinement rules to convert one model into another.

14:00 - 15:20

Industrial-Strength Examples
Mike Olivarez - Motorola, USA
Chuck Siska - Conexant, USA

This lecture will cover experiments in modeling with SpecC, such as GSM Vocoder and JPEG and JBIG IPs. It will show the advantages and productivity gains using SpecC methodology.

15:40 - 17:00

Present and Forthcomming SpecC Tools
Dai Araki - Toshiba Corp., Japan
Daniel Gajski - Univ. of California, Irvine, USA

This lecture will demonstrate different SpecC design flows and tools available and to be available in the future. It will also discuss how the SpecC methodology can be adapted into existing design flows.

Recommended Audiences :

The tutorial is intended for system designers, product managers and group leaders and all practitioners of software/hardware co-design.

 

Tutorial 2 (Room 313/314)

 

Software Development Methods for Embedded Systems

Organizers:
Masaharu Imai
- Osaka Univ., Japan
Norihiko Yoshida - Nagasaki Univ., Japan

The quality measure for embedded software is somewhat different from conventional software for main-frame computer or EWS. Such software development methods are required for embedded systems that designers can produce compact, efficient, less power consuming and dependable code in a short design period. This tutorial introduces novel methodologies to develop software for embedded systems. In the first lecture, design languages for embedded hardware and software development are surveyed along with concepts behind these languages. Second lecture illustrates the embedded software development process from the Software Engineering's point of view. The third lecture describes technical overview of RTOS for embedded systems and the current status of RTOS use in Japan. In the last lecture, various compilation techniques are surveyed that generate compact, efficient, and less energy consuming code for embedded system development.

9:30 - 10:50

Design Languages for Embedded Systems
Steve Schulz - Texas Instruments, USA

(Abstract will be announced later.)

11:10 - 12:30

Embedded Software Engineering
Yoshihiro Matsumoto - Musashi Institute of Technology, Japan

Design and implementation of software for embedded systems needs to include processes for system specification, comprising design of hardware architecture, allocation and partitioning of software components to hardware components, process scheduling, and performance estimation. The tutorial consists of:

14:00 - 15:20

Real-Time Operating System for Embedded Systems
Hiroaki Takada - Toyohashi Univ. of Technology, Japan

This tutorial describes the technical overview of real-time operating system (RTOS) for embedded systems and the current status of RTOS use in Japan. The necessity of RTOS and the differences between RTOS and general-purpose OS are explained based on the characteristics of embedded systems. Then, RTOS-related technology is described with focus on configurability. Research issues to be studied are also discussed.

15:40 - 17:00

Compilation Techniques for Embedded Software
Peter Marwedel - Univ. of Dortmund, Germany

Embedded systems demand for efficient processor architectures, optimized for application domains or applications. Current compiler technology supports these architectures poorly and has been recognized as a bottleneck for designing systems. Recent research projects aim at removing this bottleneck. We will present code optimization approaches taking the characteristics of embedded processor architectures into account. The following topics will be included:

Recommended Audiences :

Designers of both hardware and software based DSP systems, developers of IP modules for DSP applications, EDA tools developers, researchers and managers interested in getting a comprehensive overview of current trends and future challenges in low power implementations of portable DSP applications.

Tutorial 3 (Room 411/412)

 

Timing Closure for Ultra Deep Submicron Designs

Organizers:
Jason Cong
- Univ. of California, Los Angels, USA
Patrick Groeneveld - Magma Design Automation Inc., USA

Achieving timing closure between synthesis and layout has become the biggest challenge in deep submicron chip design. The increasing significance of interconnect delay is forcing a significant re-assessment of the traditional division between the logical design and physical design steps. How can we bond logic synthesis with placement and routing such that the resulting chip will meet the original circuit timing specifications? This tutorial will provide the latest answers to this question. An in-depth technical overview of all techniques for timing closure will be discussed: new synthesis techniques, wire buffering for optimum speed, timing analysis and clocking strategies, signal integrity, etc. Also, the technical core of a number of new design systems will be presented, each operating in fundamentally different ways. The audience will be offered a strong insight in the fundamental technical problems, their solutions and the practical implications of the new methodologies.

9:30 - 10:50

Timing Closure Today
Anthony Drumm - IBM Corp., USA

An in-depth review of the existing techniques for timing closure will be presented. These techniques are used today for the design of high-performance UDSM chips. The speaker will present the full set of methods for timing correction, including buffering and manipulating wire load models. Furthermore timing analysis techniques will be presented, as well as clocking methodologies for large-scale circuit design.

11:10 - 12:30

Gain Based Synthesis
Patrick Groeneveld - Magma Design Automation Inc., USA

The new 'gain-based synthesis' method will be presented in depth. The method is based on the theory of logical effort. This method essentially fixes the gate delays before physical design, rather than the gate sizes. The theory behind this new model will be derived in great detail. The presentation will describe how delay can be kept constant during placement and routing and, with that, how the timing closure can be achieved. Various practical details of this method that emerged during commercial application will also be discussed.

14:00 - 15:20

Physical Design Closure
Olivier Coudert - Monterey Design Systems, USA

A different methodology for timing closure will be presented. It is based on simultaneous optimization and model refinement. The placement, synthesis, timing, and routing engines suitable for this 'spiraling convergence' technique will be presented, together with the framework that enables their interaction.

15:40 - 17:00

New Approaches to Harness Global Interconnects
Jason Cong - Univ. of California, Los Angels, USA

The latest developments on global interconnect planning and optimization at the chip-level will be presented in the final presentation. Buffer block planning and wire width planning can maximize the speed of the long wires crossing the chip. New methods to automate this process will be presented, together with more advanced methods for interconnect-centric designs, including floorplanning with interconnect planning, combining partitioning with retiming, etc.

Recommended Audiences :

Logic designers, layout designers and CAD engineers would benefit from understanding new algorithm and tool capabilities for achieving timing closure between synthesis and layout. This tutorial will also help design project managers and academic researchers in understanding the state-of-art the solutions for timing closure and future research directions.

Tutorial 4 (Room 414/415)

 

IP Authoring and SOC Integration, Verification, and Testing

Organizer: Youn-Long Lin - National Tsing Hua Univ., Taiwan

Ever increasing requirement on functionality, cost effectiveness and energy efficiency calls for electronic systems to be integrated on a single chip (system-on-a-chip, SOC). SOC designers need advanced methodology, tools, and components (silicon intellectual properties, IP) to meet their challenge. IP authoring for reuse in SOC is much different from traditional IC design. SOC integration, verification and testing must take into account many previously un-countered issues. This tutorial features four leading experts from both academia and industry. Not only advanced methodology but also practical experience will be presented.

9:30 - 10:30

SOC Design Methodology
Kuo-Hwa Wang - Fu Jen Catholic Univ. and Global UniChip Corp., Taiwan

The tutorial introduces several emerging SOC design methodologies with emphasis on platform-based SOC design. Topics include design methodology and reuse evolution, linking the platform-based approach to hardware/software co-design, essential design flows and tools to support this approach, hardware design of the platform and derivatives, major issues of incorporating mixed-signal blocks into SOC, and implications for embedded software and co-verification.

11:10 - 12:30

IP Authoring
Juinn-Dar Huang - Global UniChip Corp., Taiwan

This part of the tutorial presents a Reusable IP Authoring Guideline that has been practiced by several IP vendors. Practice of these guidelines and rules leads to robust high-quality IPs for easy SOC integration. Topics will include system-level planning, disciplined coding styles, thorough verification, Design-for-Test concerns, socketization for SoC integration, and complete deliverables. Experience with the development of a DSP core will be described.

14:00 - 15:20

IP Qualification
Jing-Yang Jou - National Chiao Tung University, Taiwan

The third part of the tutorial will present state-of-the-art techniques for IP qualification. Several popular functional coverage metrics are surveyed and three approaches for coverage measurement are introduced. Techniques for automatic test-bench generation are also presented. These new approaches allow us to obtain high coverage without excessive simulation.

15:40 - 17:00

SOC Testing
Cheng-Wen Wu - National Tsing Hua University, Taiwan

The final part of the tutorial describes recent development in SOC testing. After discussion of SOC test issues, requirements, and process, test methodologies for digital, memory, and analog cores will be presented. Built-in self-test techniques for the cores will be stressed. The emerging IEEE P1500 standard for SOC testing will then be presented. The P1500 Wrapper architecture and test access mechanisms will be explained. Examples for testability design at the SOC level will also be shown.

Recommended Audiences :

This tutorial is intended for IP authors and users, SOC designers, and EDA developers.

Tutorial 5 (Room 416/417)

 

Design and Tools for Networked System-on-Chip

Organizer: Rajesh K. Gupta - Univ. of California, Irvine, USA

This tutorial is about design of single-chip systems characterized by a (wireline and/or wireless) network connection. Such an "antenna-to-network" chip would incorporate a RF front end, baseband digital signal processing, link layer coding and medium access control functions. The goal of this tutorial is to present a systems perspective of design, prototyping and verification of networked SOCs in the specific context of Bluetooth devices. The tutorial consists of four parts: (a) system and architectural issues for networked SOCs; (b) case studies in networked system-chip design; (c) power management for networked SOCs; (d) advances in conceptualization, modeling and simulation of networked SOCs.

9:30 - 10:50

Part I: System and Architectural Issues
Charles Chien - Rockwell Science Center and Univ. of California Los Angeles, USA

(10:50 - 11:10 Coffee Break)

11:10 - 12:30

Part II: Case Studies
Charles Chien - Rockwell Science Center and Univ. of California Los Angeles, USA

A major challenge in the design of single-chip solutions for wireless networked system lies in meeting the system performance with the lowest area, cost, and power. However, there exists a gap between the system engineering and integrated circuits implementation that has limited the degree of optimization one could do from the system level down to circuits implementation. This part of the tutorial focuses on top-down design approaches and techniques that help to bridge this gap. In particular, we consider system and architectural issues that are specific to the design of single-chip wireless systems. These include the choice of RF front-end architectures, modulations, error control/coding, multiple access, duplexing, and diversity combining. In Part II of this talk, several case studies will be discussed, such as the design of spread-spectrum cordless transceivers and Bluetooth radios.

14:00 - 15:20

Power Management and Portability Considerations for Networked Devices
Rajesh K. Gupta - Univ. of California, Irvine, USA

Accurate modeling of power needs and its judicious management is critical to a good SOC design. In this talk we explore various levels of power management schemes and how coordinated approaches are being explored to efficient energy utilization of system needs in the context of Bluetooth devices.

15:40 - 17:00

Design Tools for Networked System-on-Chip
Rajesh K. Gupta - Univ. of California, Irvine, USA

The design, simulation, implementation, and testing techniques required for networked system-chips are complex, as are the metrics to evaluate the performance. The diversity of macromodules required in such wireless systems on a chip represents a special challenge in almost all aspects of IC/System design. In this talk we present the state of the art in conceptualization and modeling of such systems, focusing on both the CAD problems that arise from such chips as well as on the methodology and tool flows.

Recommended Audiences :

Researchers, students and practicing engineers who are interested exploring design issues specific to on-chip implementations of networked embedded systems. CAD developers and researchers will develop an appreciation of the design tool requirements for wireless networked computing systems. Minimal familiarity with VLSI design, communications basics and computer programming is assumed.