Call for Papers /ASP-DAC 2005 Asia and South Pacific Design Automation Conference 2005 January 18-21, 2005 Hotel Equatorial, Shanghai, China http://www.aspdac2005.com Aims of the Conference: ASP-DAC 2005 is the tenth in a series of annual International Conference on VLSI design automation. Asia and south pacific region are among the most active regions of design and fabrication of integrated circuits in the world. This Conference aims to provide a forum for presentation and discussion of the state-of-the-art of electronic design automation (EDA ). The format of the conference is designed to cultivate and promote exchanges of ideas among researchers, developers, and designers of electronic systems, circuits, and devices in all technological and theoretical aspects of electronic design automation. Area of Interest: Original papers on ,but not limited to, the following areas are invited. [1] System Level Design Methodology: System VLSI and SOC design methods, System specification, Specification languages, Design languages, Design reuse and IPs , Core-based design, Rapid prototyping, Low power system design, etc. [2] Embedded and Real-Time Systems: Hardware-software co-design, Co-simulation, Co-verification, Real-time OS and middleware, Design language for embedded systems , Compilation Techniques, etc. [3] Behavioral / Logic Synthesis and Optimization: Behavioral / RT synthesis, Optimization techniques in logic design, Library mapping, Interaction between logic design and layout, IP-core design, Sequential and asynchronous logic synthesis, Hardware algorithms, etc. [4] Validation and Verification for Behavioral / Logic Design: Logic simulation, Simulation engine, Symbolic simulation, Formal verification, Binary decision diagram, Equivalence checking, Transaction-level / RTL and gate level modeling and validation, etc. [5] Circuit Optimization and Simulation: Circuit modeling, Circuit simulation, Circuit extraction, Cell library characterization and generation, Circuit characterization, Clock / power / ground distribution, Signal integrity issues, etc. [6] Physical Design and Interconnect Optimization: Physical synthesis, Floor-planning, Wire optimization and planning, Partitioning, Placement, Global / Detail routing, Module generation, New layout algorithms, Interconnect issues, etc. [7] Test and Design for Testability: Test design, Test pattern generation, BIBS, Fault simulation, Fault modeling, Test method for core-based design, Test issues on IP cores, Memory testing, VLSI tester, etc. [8] Analog and RF Circuit Design: Analog circuit synthesis, Analog layout, Verification, Simulation techniques, Noise analysis, Analog circuit testing, Analog digital mixed design, etc. [9] Design for Manufacturability and TCAD: Device modeling, Device simulation, Parameter extraction, Process modeling, Process simulation, Yield optimization, Device testing, etc. [10] Reconfigurable Systems: Field-programmable gate array (FPGA) design, FPGA design tools, Novel reconfigurable systems, Synthesis and mapping techniques for reconfigurable systems, Application of reconfigurable systems, etc. [11] Leading Edge Designs : Microprocessors, Digital signal processors, Design for multimedia, SOC, Design for wireless communication, A/D mixed circuits, Memories, Sensors, MEMS chips, New applications, etc. Submission of Papers: Deadline for Submission: July 15 (Thu), 2004 Specification of the paper submission format will Notification of acceptance: September 30 (Thu), 2004 be available at Web Site: http://aspdac2005.com/ Deadline for final version: November 5 (Fri), 2004 Panels, Special Sessions and Tutorials: Suggestions and proposals are welcome and have to be addressed to the Conference Secretariat ( See the email address below ) no later than July 15 (Thus) , 2004. Prospective Sponsors: ACM SIGDA, IEEE CAS , Chinese Institute of Electronics, IEEE Beijing Section , etc. ASP-DAC 2005 Chairs: General Chair: Ting-Ao Tang ( Fudan Univ. ) Co-chairs: Jason Cong (UCLA), Richard MM Chen (City Univ. of HK), Masaharu Imai (Osaka University) Technical Program Co£­Chairs£ºXianlong Hong £¨Tsinghua Univ.£©, Hidekazu Terai (Ritsumeikan University) C.K.Cheng (UCSD), Tony Ma ( Synopsys ), Youn-Long Lin (Tsinghua Univ., Hsinchu) Design Contest Co-Chair: Zeng Xiaoyang (Fudan University), Makoto Ikeda (University of Tokyo), Lin Yang (Legend Silicon Corp.) Conference Secretariats: Huihua Yu (for conference arrangement). Tong Jing (for paper submission) hhyu@fudan.edu.cn jingtong@mail.tsinghua.edu.cn