(Back to Session Schedule)

The 15th Asia and South Pacific Design Automation Conference

Session 9B  Power Grid Analysis
Time: 13:30 - 15:10 Thursday, January 21, 2010
Location: Room 101B
Chairs: Youngsoo Shin (Korea Advanced Institute of Science and Technology, Republic of Korea), Nam Sung Kim (University of Wisconsin-Madison, U.S.A.)

9B-1 (Time: 13:30 - 13:55)
TitleIncremental Solution of Power Grids using Random Walks
Author*Baktash Boghrati, Sachin S. Sapatnekar (University of Minnesota, U.S.A.)
Pagepp. 757 - 762
Keywordpower grid, random walk, linear equation solver, incremental analysis
AbstractIt is common for a designer to make multiple small changes to a power grid, corresponding to "what if" scenarios, in an attempt to improve its performance. To evaluate the effects of this incremental change, the circuit may go through incremental analysis. This paper presents a computationally efficient and accurate method for fast and accurate incremental analysis, using random walks to identify a region of influence (RoI) of a change, so that this RoI can then be analyzed by any other solver. Our experimental results demonstrate the accuracy and computational efficiency of this method.
Slides

9B-2 (Time: 13:55 - 14:20)
TitleEfficient Power Grid Integrity Analysis Using On-the-Fly Error Check and Reduction
AuthorDuo Li, *Sheldon Tan, Ning Mi (University of California, Riverside, U.S.A.), Yici Cai (Tsinghua University, China)
Pagepp. 763 - 768
Keywordpower grid analysis, model order reduction, truncated balanced realization, IR drop analysis
AbstractIn this paper, we present a new voltage IR drop analysis approach for large on-chip power delivery networks. The new approach is based on recently proposed sampling based reduction technique to reduce the circuit matrices before the simulation. Due to the disruptive nature of tap current waveforms in typical industry power grid networks, input current sources typically has wide frequency power spectrum. To avoid the excessively sampling, the new approach introduces an error check mechanism and on-the-fly error reduction scheme during the simulation of the reduced circuits to improve the accuracy of estimating the the large IR drops. The proposed method presents a new way to combine model order reduction and simulation to achieve the overall efficiency of simulation. The new method can also easily trade errors for speed for different applications. Experimental results show the proposed IR drop analysis method can significantly reduce the errors of the existing ETBR method at the similar computing cost, while it can have 10X and more speedup over the the commercial power grid simulator in UltraSim with about 1-2% errors on a number of real industry benchmark circuits.

9B-3 (Time: 14:20 - 14:45)
TitlePS-FPG: Pattern Selection based co-design of Floorplan and Power/Ground Network with Wiring Resource Optimization
AuthorLi Li (WuHan University of Technology, China), *Yuchun Ma (Tsinghua Univ., China), Ning Xu (WuHan University of Technology, China), Yu Wang, Xianlong Hong (Tsinghua Univ., China)
Pagepp. 769 - 774
KeywordP/G network, Floorplanning, Wiring resource
AbstractAs technology advances, the voltage (IR) drop in the Power/Ground (P/G) network becomes a serious problem in modern IC design. The P/G network co-design with floorplan can improve the power design quality. Different with traditional approaches which analyze P/G network during the floorplanning iterations, in this paper, an efficient pattern selection method is used to provide gradient information for fast signal-integrity estimation. We also propose a novel P/G aware incremental algorithm which can intelligently fix the violations during the floorplanning process. The P/G pin assignment and wire sizing method are adopted during the floorplanning process so that the power routing resource can be minimized with the constraints of IR drop and electron migration (EM) considered. Experimental results based on the MCNC benchmarks show that our design not only significantly speeds up the optimization process, but also optimizes the power routing resource while the quality of the floorplanning is maintained.

9B-4 (Time: 14:45 - 15:10)
TitleGate Delay Estimation in STA under Dynamic Power Supply Noise
Author*Takaaki Okumura, Fumihiro Minami, Kenji Shimazaki, Kimihiko Kuwada (Semiconductor Technology Academic Research Center, Japan), Masanori Hashimoto (Osaka University, Japan)
Pagepp. 775 - 780
Keywordpower, noise, timing
AbstractThis paper presents a gate delay estimation method that takes into account dynamic power supply noise. We review STA based on static IR-drop analysis and a conventional method for dynamic noise waveform, and reveal their limitations and problems that originate from circuit structures and higher delay sensitivity to voltage in advanced technologies. We then propose a gate delay computation that overcomes the problems with iterative computations and consideration of input voltage drop. Evaluation results with various circuits and noise injection timings show that the proposed method estimates path delay fluctuation well within 2% error on average.
Slides