(Back to Session Schedule)

The 19th Asia and South Pacific Design Automation Conference

Session 6C  Power Supply Noise Aware Design Optimization
Time: 15:50 - 17:30 Wednesday, January 22, 2014
Location: Room 303
Chairs: Wenjian Yu (Tsinghua University, China), Shi Guoyong (Shanghai Jiao Tong University, China)

6C-1 (Time: 15:50 - 16:15)
TitleEfficient Simulation-Based Optimization of Power Grid with On-Chip Voltage Regulator
AuthorTing Yu, *Martin D.F. Wong (University of Illinois at Urbana-Champaign, U.S.A.)
Pagepp. 531 - 536
KeywordPower grid, IR-drop, LDO
AbstractIR-drop values of power grid can be reduced through inserting on-chip low-dropout voltage regulators (LDO). In this paper, we explore the optimization of LDOs to meet the IR-drop constraint, where the maximum IR-drop value is less than 10% of power supply. With Cholesky direct solver and SPICE, we propose a method to simulate power grid with LDOs. Based on the simulation method, we develop an efficient flow to optimize the number and locations of the LDOs. Effectiveness of the proposed method is verified by the experimental results. To the best of our knowledge, this is the first work optimizing the number and locations of LDOs to meet the IR-drop constraint.
Slides

6C-2 (Time: 16:15 - 16:40)
TitleWalking Pads: Fast Power-Supply Pad-Placement Optimization
AuthorKe Wang (University of Virginia, U.S.A.), *Brett Meyer (McGill University, Canada), Runjie Zhang, Kevin Skadron, Mircea Stan (University of Virginia, U.S.A.)
Pagepp. 537 - 543
Keywordmulti-core, power delivery, C4 pad allocation, IR Drop, heuristic optimization
AbstractWe propose a novel C4 pad placement optimization framework for 2D power delivery grids: Walking Pads (WP). WP optimizes pad locations by moving pads according to the "virtual forces" exerted on them by other pads and current sources in the system. WP algorithms achieve the same IR drop as state-of-the-art techniques, but are up to 634X faster. We further propose an analytical model relating pad count and IR drop for determining the optimal pad count for a given IR drop budget.
Slides

6C-3 (Time: 16:40 - 17:05)
TitlePower Supply Noise-Aware Workload Assignments for Homogenous 3D MPSoCs with Thermal Consideration
Author*Yuanqing Cheng (LIRMM, France), Aida Todri-Sanial (CNRS/LIRMM, France), Alberto Bosio (University of Montpellier/LIRMM, France), Luigi Dilillo, Patrick Girard (CNRS/LIRMM, France), Arnaud Virazel (University of Montpellier/LIRMM, France)
Pagepp. 544 - 549
Keyword3D Homogeneous MPSoC, Workload Assignment, Power Supply Noise, Thermal
AbstractIn order to improve performance and reduce cost, multi-processor system on chip (MPSoC) is increasingly becoming attractive. At the same time, 3D integration emerges as a promising technology for high density integration. 3D homogenous MPSoCs combine the benefits of both. However, high current demand and large on-chip switching activity variations introduce severe power supply noise (PSN) for 3D MPSoCs, which can increase critical path delay, and degrade chip performance and reliability. Meanwhile, thermal gradient should also be considered for 3D MPSoCs to avoid generation of hotspot. In the paper, we investigate the PSN effects of different workloads and propose an effective PSN estimation method. Then, a heuristic workload assignment algorithm is proposed to suppress PSN under the given thermal constraint. The experimental results show that PSNs can be reduced significantly compared with thermal-balanced workload assignment scheme, and the system performance can be improved as well.
Slides

6C-4 (Time: 17:05 - 17:30)
TitleSwimmingLane: A Composite Approach to Mitigate Voltage Droop Effects in 3D Power Delivery Network
Author*Xing Hu (Institute of Computing Technology, University of Chinese Academy of Sciences, China), Yi Xu (Space Science Institute, Macau University of Science and Technology, Macau/Advanced Micro Devices Research China Laboratory, China), Yu Hu (Institute of Computing Technology, University of Chinese Academy of Sciences, China), Yuan Xie (Advanced Micro Devices, China/Pennsylvania State University, U.S.A.)
Pagepp. 550 - 555
Keyword3D chip, Voltage droop
AbstractDespite the promising features of rapid data transferring across layers, low transmission power and high device density, 3D integration technology also presents many challenges, one of which is power integrity. By stacking multiple dies vertically, 3D chips have higher load than the same-sized 2D chips, leading to larger voltage droop and exacerbating damage to power integrity. To alleviate this problem, we first analyze the impact of application behaviors on voltage droop in a 3D power supply network (PDN) and observe that voltage droop is extremely imbalanced either across different layers or among the cores in the same layer. Then we propose a hardware and heuristic software co-design: (1) Mitigating the interference among different dies via a layer-independent scheme, and (2) balancing the intra-layer voltage droop and reducing the worst-case margin via OS scheduling. Compared to conventional designs, our schemes can reduce power consumption by 18%, worst-case voltage droops by 13%, and the number of voltage violations by 40%.
Slides