チュートリアル

 各分野の第一人者が、システムレベルからデバイスレベルまで、基礎か ら現場で役立つ実践まで、最新技術を伝授します。企業でのチップ設計、CAD 開発、CADフロー構築をされている方、また、大学や企業でチップ設計やCADの 研究をされている方等に必ずお役に立つ情報です。

チュートリアル案内(スライド例付き) - pdfファイル(109kb)

  • ASP-DAC2006チュートリアル新特典
    • オール・イン・ワン・テキスト!
    • 受講するチュートリアルだけでなく「全てのチュートリアル」を一冊にまとめたテキストを差し上げます。
    • 昼食クーポン券付き
  • 日時: 2006年1月24日(火) 9:30 - 17:00
  • 場所: パシフィコ横浜、会議センター4階

時間 タイトル
チュートリアル 1 (全日) 9:30 - 17:00 65nm以降のDFM(Design For Manifacturing)ツールと設計手法
チュートリアル 2 (全日) 9:30 - 17:00 高性能指向の配線とパッケージング
チュートリアル 3 (半日) 9:30 - 12:30 ナノメータ時代の低消費電力・低リーク技術:システムレベル及びアーキテクチャレベル・アプローチ
チュートリアル 4 (半日) 14:00 - 17:00 ナノメータ時代の低消費電力・低リーク技術:回路レベル及びデバイスレベル・アプローチ
チュートリアル 5 (半日) 9:30 - 12:30 機能検証手法の基礎と実際
チュートリアル 6 (半日) 14:00 - 17:00 システムオンチップ通信アーキテクチャ:実践・理論・今後のトレンド
  • Co-Chairs: 若林一敏 (NEC), Chung-Kuan Cheng (University of California, San Diego)
  • Vice Chair: 樋口博之 (富士通研究所)

Tutorial 1 (Full Day), 1月24日(火) 9:30 - 17:00 (Room 411+412)

"DFM Tools and Methodologies for 65nm and Below"
(65nm以降のDFM(Design For Manifacturing)ツールと設計手法)

Organizer:
Andrew B.Kahng - UCSD and Blaze DFM, Inc., United States
Speakers:
Andrew B.Kahng - UCSD and Blaze DFM, Inc., United States
Louis K.Scheffer - Cadence Design Systems, Inc., United States
Michael Orshansky - Univ. of Texas at Austin, United States
Andrzej Strojwas - PDF Solutions, Inc. and CMU, United States

☆ 設計と製造を一体的にとらえた最適化技法であるDFMの基礎から実践を 説明します。設計側、製造側の両方の技術者、研究者に是非聴講していただき たいコースです。実例に即した役立つ情報がたくさんです。☆

半導体技術がナノメーターオーダの時代になり製造工程での様々な問題が 深刻化している。これからは設計と製造工程を一体的にとらえ全体として最適 化するDFM(製造性考慮設計)の確立が不可欠である。本チュート リアルでは、65ナノメーター以降の設計でのメインストリームになるであろ うDFMのツールテクノロジと設計メソドロジを解説する。(1)歩留まり低下要因 とばらつき原因の分類、(2)統計的特性抽出と統計的性能解析、(3)タイミング、 消費電力、信頼性向上のための歩留まり最適化、(4)形状(リソグラフィとエッ チング)、厚さ(研磨平坦化)、および欠陥密度(クリティカルエリア)につ いての製造シミュレーションと解析、(5)配置、配線および配線後最適化ツー ルにおける製造考慮の可能性、(6)レイアウト合成手法(制限されたデザイン ルールからフレキシブル・レイアウト、リキッド・ライブラリまで)、など DFMのトピックを広範にカバーする。

Tutorial 2 (Full Day), 1月24日(火) 9:30 - 17:00 (Room 413)

"High Performance Interconnect and Packaging"
(高性能指向の配線とパッケージング)

Organizers:
Chung-Kuan Cheng - Univ. of California, San Diego, United States
Howard Chen - IBM, United States
Speakers:
Paul M. Harvey - IBM, United States
Howard Chen - IBM, United States
Chung-Kuan Cheng - Univ. of California, San Diego, United States
Manjit Borah - Fastrack, United States
Lei He - Univ. of California, Los Angeles, United States
Sheldon Tan - Univ. of California, Riverside, United States

☆ 配線とパッケージに絡んだ性能、電力にかかわる問題と解決策を得る ための知識が満載です。システム設計者も、今後、本分野の知識が必須になっ てきます。☆

配線とパッケージングはシステム性能と消費電力の決定に大きな影響を与える 。 ITRSロードマップでも配線とパッケージのスケーラビリティが主要な技術課題 として上げられている。本チュートリアルでは、シリコン性能へのインパクト という観点から配線とパッケージングの最新技術を総合的に解説していく。パッ ケージングと配線においてどのようなファクターがチップ性能に大きく寄与す るのかを詳しく見ていく。また、ともすれば設計において見落とされがちなパッ ケージングについて、設計者に対して、その最新技術の紹介だけでなく、シス テム性能向上のためにそれらをどのように設計手法の中に取り入れていけばよ いかについて包括的かつ具体的な指針を与える。LSI物理設計者やEDA開発者が 高性能指向の配線とパッケージングの最新動向を押さえるためだけでなく、シ ステム性能や消費電力の見積もりを行いたいシステムレベル設計者が配線やパッ ケージングの影響に関するまとまった知識を得るためにも有用なチュートリア ルである。

Tutorial 3 (Half Day), 1月24日(火) 9:30 - 12:30 (Room 414+415)

"Low Power / Low Leakage Technologies for Nanometer Era: System and Architecture Level Approaches"
(ナノメータ時代の低消費電力・低リーク技術:システムレベル及びアーキテクチ ャレベル・アプローチ)

Organizer:
宇佐美 公良 (芝浦工業大学)
Speakers:
入江 直彦 (日立)
中村 宏 (東京大学)

☆ (Tutorial 3,4) 低電力化技術(低リーク技術含む)のチュートリアルは、ハーフデイ を二つ用意しました。二つ連続で聴講することで、アーキテク チャレベルから回路、デバイスレベルまで全体を知ることができます。基礎の 説明もありますが、実務レベルでの適用が可能なことを留意して講演していた だきます。また、それぞれ単独で聴講されても、十分理解できるように留意し ております。☆

今日、低消費電力化技術はポータブル製品からハイエンド・マイクロプロ セッサにいたるまであらゆるシステム・オン・チップ設計に不可欠なものとなっ ている。本チュートリアルでは設計の上流であるシステムレベル及びアーキテ クチャレベルの低消費電力化技術を解説する。動的電圧スケーリングや動的周 波数スケーリング、キューのリサイジング、パイプラインのバランス調整とス ケーリング、キャッシュとメモリの最適化、GALS(globally asynchronous locally synchronous)アーキテクチャ、消費電力と信頼性のトレードオフなど、 最新の技術を幅広く紹介する。

Tutorial 4 (Half Day), 1月24日(火) 14:00 - 17:00 (Room 414+415)

"Low Power / Low Leakage Technologies for Nanometer Era: Circuit and Device Level Approaches"
(ナノメータ時代の低消費電力・低リーク技術:回路レベル及びデバイスレベル・ アプローチ)

Organizer:
宇佐美 公良 (芝浦工業大学)
Speakers:
宇佐美 公良 (芝浦工業大学)
最上 徹 (NEC)

低消費電力を実現するには、設計の上位から下流にいたるまであらゆるレ ベルで消費電力を押さえる努力が欠かせない。本チュートリアルではチュート リアル3(「Low Power / Low Leakage Technologies for Nanometer Era: System and Architecture Level Approaches」)に引き続き低消費電力化技術 について設計の下流に焦点を絞って解説する。回路レベルからデバイスレベル まで、動的電力消費からリーク電流まで、最新技術を幅広く説明し、技術革新 が著しい設計下流の低消費電力化技術の最新動向をまとめて知るのに最適な チュートリアルとなっている。パワー・ゲーティングのための回路およびCAD 技術(MTCMOS)、多電圧設計、high-kゲート絶縁膜、Cu/low-k配線、3-Dデバイ ス構造、SiGeなどの新材料、などをはじめとして主要なトピックを網羅する。

Tutorial 5 (Half Day), 1月24日(火) 9:30 - 12:30 (Room 416+417)

"Basics and Practice of Current Functional Verification Methods"
(機能検証手法の基礎と実際)

Organizer:
浜口 清治 (大阪大学)
Speakers:
浜口 清治 (大阪大学)
Erich Marschner - Cadence Design Systems, Inc., United States

☆ 最新の機能検証手法のすべてがわかります。アサーションベース検証、形式検 証等の実用化が進んでいますが、その適用には技術の原理の理解が欠かせません。 本チュートリアルを受講することで、最新の検証技法の限界がわかり、よりうま く実設計に適用できるようになります。☆

本チュートリアルでは,まず,1)機能検証に関する基礎的な概念や,現在利用 可能な種々の技術についてまとめ,次に,2) とくにアサーションベース検証 をとりあげ,その実際について議論する.最後に,3)フォーマル検証について より高度なトピックについて概観する.

パート1:機能検証手法の基礎(浜口清治)
このパートでは,機能検証において現在利用可能な技術について外観する. 具体的には,機能カバレッジ,アサーション,制約付きランダムシミューレー ション,限定/非限定モデルチェッキングである.これらの技術について, そのアルゴリズムではなく,具体的に何ができるかについて,設計者の立場 で議論する.

パート2:アサーションベース検証の実際(Erich Marschner)
このパートでは,アサーションと機能カバレッジモニターを使って,より短 時間で高精度の検証を達成するための,さまざまな技術について紹介する. 具体的には,アサーションや機能カバレッジの書き方の指針,推奨される適 用箇所,典型的な検証フローにおいてもっとも効果的に用いる方法について 述べる.

パート3:フォーマル機能検証に関する最新のトピック(浜口清治)
機能検証に関して,近年研究が進んでいる技術について紹介する.具体的に は大規模検証のための抽象化/詳細化技術,SpecCやUMLなど高位の記述言語 に対するフォーマル検証技術などである.

Tutorial 6 (Half Day), 1月24日(火) 14:00 - 17:00 (Room 416+417)

"SoC Communication Architectures: Current Practice, Research and Trends"
(システムオンチップ通信アーキテクチャ:実践・理論・今後のトレンド)

Organizer:
Nikil Dutt - Univ. of California, Irvine, United States
Speakers:
Nikil Dutt - Univ. of California, Irvine, United States
Sudeep Pasricha - Univ. of California, Irvine, United States

☆ オンチップ通信の最適な入門コースです。実設計でよく用いられる通信アー キテクチャを概説し、豊富な設計事例を通して、実設計にすぐ利用可能な標準 バスアーキテクチャの解説も行います。通信アーキテクチャを中心に考えた設 計手法や、今はやりのネットワークオンチップ等の話題も満載です。半日でオ ンチップ通信の一通りの知識が得られます。☆

IPベース設計やプラットフォーム設計など、システムレベル設計手法を適用す る設計現場では高性能なチップ内通信の設計がシステム全体の性能を大きく左 右すると認識されるようになってきた。本チュートリアルでは、オンチップ通 信アーキテクチャという観点から、システムレベル設計の設計事例、研究動向、 および今後の方向性について解説する。多くの設計事例やケーススタディをも とに解説し、設計現場で役に立つシステムレベル設計の情報を提供する。設計 事例では、例えば、(1)現在実設計で広く用いられている通信アーキテクチャ、 (2)OCPI-IP, VSIA, AMBA,CoreConnect, STBus, Sonicのような広く利用されて いるプロトコルや標準アーキテクチャ、(3)設計フローに通信アーキテクチャ 設計を取り入れた典型的な実設計メソドロジーのケーススタディ、などに言及 する。さらに、今後の方向性として、ネットワークオンチップ (network-on-chip)やオンチップ光通信(on-chip optical interconnect)につ いても説明する。

Last Updated on: 1, 24, 2006